hwt.serializer.verilog package

Verilog serializer serializes HDL objects to verilog code.

class hwt.serializer.verilog.VerilogSerializer[source]

Bases: hwt.serializer.serializer_config.DummySerializerConfig

TO_CONSTRAINTS

alias of hwt.serializer.xdc.serializer.XdcSerializer

TO_HDL

alias of hdlConvertorAst.to.verilog.verilog2005.ToVerilog2005

TO_HDL_AST

alias of hwt.serializer.verilog.serializer.ToHdlAstVerilog

fileExtension = '.v'

Submodules

hwt.serializer.verilog.context module

class hwt.serializer.verilog.context.SignalTypeSwap(ctx, signalType: hdlConvertorAst.to.verilog.constants.SIGNAL_TYPE)[source]

Bases: object

An object which is used as a context manager for signalType inside of hwt.serializer.verilog.serializer.ToHdlAstVerilog

__init__(ctx, signalType: hdlConvertorAst.to.verilog.constants.SIGNAL_TYPE)[source]

Initialize self. See help(type(self)) for accurate signature.

hwt.serializer.verilog.ops module

class hwt.serializer.verilog.ops.ToHdlAstVerilog_ops[source]

Bases: object

SIGNED = <HdlValueId $signed>
UNSIGNED = <HdlValueId $unsigned>
_operandIsAnotherOperand(operand)[source]
as_hdl_Operator(op: hwt.hdl.operator.Operator)[source]
as_hdl_operand(operand: Union[hwt.synthesizer.rtlLevel.rtlSignal.RtlSignal, hwt.hdl.value.HValue], i: int, operator: hwt.hdl.operator.Operator)[source]
op_transl_dict = {<OpDefinition AND>: <HdlOpType.AND: 18>, <OpDefinition OR>: <HdlOpType.OR: 19>, <OpDefinition XOR>: <HdlOpType.XOR: 22>, <OpDefinition CONCAT>: <HdlOpType.CONCAT: 51>, <OpDefinition DIV>: <HdlOpType.DIV: 4>, <OpDefinition DOWNTO>: <HdlOpType.DOWNTO: 78>, <OpDefinition TO>: <HdlOpType.TO: 79>, <OpDefinition EQ>: <HdlOpType.EQ: 36>, <OpDefinition GT>: <HdlOpType.GT: 42>, <OpDefinition GE>: <HdlOpType.GE: 43>, <OpDefinition LE>: <HdlOpType.LE: 41>, <OpDefinition POW>: <HdlOpType.POW: 8>, <OpDefinition LT>: <HdlOpType.LT: 40>, <OpDefinition SUB>: <HdlOpType.SUB: 2>, <OpDefinition MUL>: <HdlOpType.MUL: 5>, <OpDefinition NE>: <HdlOpType.NE: 37>, <OpDefinition ADD>: <HdlOpType.ADD: 3>, <OpDefinition NOT>: <HdlOpType.NEG: 15>, <OpDefinition MINUS_UNARY>: <HdlOpType.MINUS_UNARY: 0>, <OpDefinition RISING_EDGE>: <HdlOpType.RISING: 76>, <OpDefinition FALLING_EDGE>: <HdlOpType.FALLING: 77>, <OpDefinition INDEX>: <HdlOpType.INDEX: 50>}

hwt.serializer.verilog.serializer module

class hwt.serializer.verilog.serializer.ToHdlAstVerilog(name_scope: Optional[hdlConvertorAst.translate.common.name_scope.NameScope] = None)[source]

Bases: hwt.serializer.verilog.types.ToHdlAstVerilog_types, hwt.serializer.verilog.value.ToHdlAstVerilog_Value, hwt.serializer.verilog.statements.ToHdlAstVerilog_statements, hwt.serializer.verilog.ops.ToHdlAstVerilog_ops, hwt.serializer.generic.to_hdl_ast.ToHdlAst

__init__(name_scope: Optional[hdlConvertorAst.translate.common.name_scope.NameScope] = None)[source]

Initialize self. See help(type(self)) for accurate signature.

_as_hdl_HdlModuleDef_param_asserts(new_m: hdlConvertorAst.hdlAst._structural.HdlModuleDec) → List[hdlConvertorAst.hdlAst._bases.iHdlStatement][source]
_keywords_dict = {'accept_on': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'alias': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'always': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'always_comb': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'always_ff': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'always_latch': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'and': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'assert': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'assign': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'assume': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'automatic': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'before': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'begin': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'bind': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'bins': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'binsof': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'bit': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'break': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'buf': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'bufif0': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'bufif1': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'byte': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'case': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'casex': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'casez': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'cell': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'chandle': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'checker': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'class': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'clocking': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'cmos': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'config': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'const': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'constraint': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'context': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'continue': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'cover': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'covergroup': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'coverpoint': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'cross': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'deassign': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'default': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'defparam': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'design': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'disable': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'dist': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'do': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'edge': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'else': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'end': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endcase': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endchecker': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endclass': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endclocking': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endconfig': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endfunction': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endgenerate': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endgroup': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endinterface': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endmodule': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endpackage': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endprimitive': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endprogram': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endproperty': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endsequence': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endspecify': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endtable': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'endtask': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'enum': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'event': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'eventually': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'expect': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'export': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'extends': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'extern': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'final': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'first_match': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'for': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'force': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'foreach': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'forever': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'fork': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'forkjoin': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'function': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'generate': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'genvar': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'global': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'highz0': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'highz1': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'if': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'iff': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'ifnone': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'ignore_bins': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'illegal_bins': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'implements': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'implies': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'import': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'incdir': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'include': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'initial': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'inout': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'input': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'inside': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'instance': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'int': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'integer': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'interconnect': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'interface': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'intersect': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'join': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'join_any': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'join_none': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'large': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'let': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'liblist': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'library': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'local': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'localparam': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'logic': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'longint': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'macromodule': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'matches': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'medium': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'modport': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'module': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'nand': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'negedge': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'nettype': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'new': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'nexttime': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'nmos': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'nor': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'noshowcancelled': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'not': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'notif0': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'notif1': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'null': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'or': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'output': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'package': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'packed': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'parameter': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'pmos': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'posedge': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'primitive': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'priority': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'program': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'property': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'protected': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'pull0': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'pull1': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'pulldown': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'pullup': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'pulsestyle_ondetect': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'pulsestyle_onevent': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'pure': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'rand': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'randc': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'randcase': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'randsequence': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'rcmos': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'real': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'realtime': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'ref': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'reg': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'reject_on': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'release': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'repeat': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'restrict': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'return': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'rnmos': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'rpmos': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'rtran': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'rtranif0': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'rtranif1': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 's_always': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 's_eventually': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 's_nexttime': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 's_until': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 's_until_with': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'scalared': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'sequence': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'shortint': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'shortreal': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'showcancelled': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'signed': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'small': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'soft': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'solve': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'specify': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'specparam': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'static': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'string': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'strong': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'strong0': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'strong1': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'struct': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'super': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'supply0': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'supply1': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'sync_accept_on': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'sync_reject_on': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'table': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'tagged': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'task': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'this': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'throughout': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'time': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'timeprecision': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'timeunit': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'tran': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'tranif0': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'tranif1': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'tri': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'tri0': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'tri1': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'triand': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'trior': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'trireg': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'type': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'typedef': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'union': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'unique': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'unique0': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'unsigned': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'until': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'until_with': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'untyped': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'use': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'uwire': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'var': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'vectored': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'virtual': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'void': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'wait': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'wait_order': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'wand': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'weak': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'weak0': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'weak1': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'while': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'wildcard': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'wire': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'with': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'within': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'wor': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'xnor': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>, 'xor': <hdlConvertorAst.translate.common.name_scope.LanguageKeyword object>}
_static_assert_false(msg: str)[source]
_static_assert_symbol_eq(symbol_name: str, v)[source]
as_hdl_GenericItem(g: hdlConvertorAst.hdlAst._defs.HdlIdDef)[source]
as_hdl_HdlModuleDef_variable(v, types, hdl_types, hdl_variables, processes, component_insts)[source]
as_hdl_HdlPortItem(pi: hwt.hdl.portItem.HdlPortItem)[source]

hwt.serializer.verilog.statements module

class hwt.serializer.verilog.statements.ToHdlAstVerilog_statements[source]

Bases: object

as_hdl_HdlAssignmentContainer(a: hwt.hdl.statements.assignmentContainer.HdlAssignmentContainer)[source]
as_hdl_HdlStmCodeBlockContainer(proc: hwt.hdl.statements.codeBlockContainer.HdlStmCodeBlockContainer) → hdlConvertorAst.hdlAst._bases.iHdlStatement[source]
can_pop_process_wrap(stms, hasToBeVhdlProcess)[source]
has_to_be_process(proc: hwt.hdl.statements.codeBlockContainer.HdlStmCodeBlockContainer)[source]

hwt.serializer.verilog.types module

class hwt.serializer.verilog.types.ToHdlAstVerilog_types[source]

Bases: object

INT = <HdlValueId int>
REG = <HdlValueId reg>
WIRE = <HdlValueId wire>
as_hdl_HdlType_array(typ: hwt.hdl.types.array.HArray, declaration=False)[source]
as_hdl_HdlType_bits(typ: hwt.hdl.types.bits.Bits, declaration=False)[source]
as_hdl_HdlType_enum(typ, declaration=False)[source]
as_hdl_HdlType_float(typ: hwt.hdl.types.float.HFloat, declaration=False)[source]
does_type_requires_extra_def(t: hwt.hdl.types.hdlType.HdlType, other_types: list)[source]

hwt.serializer.verilog.utils module

hwt.serializer.verilog.utils.verilogTypeOfSig(s: Union[hwt.hdl.variables.SignalItem, hwt.hdl.portItem.HdlPortItem])[source]

Check if is register or wire

hwt.serializer.verilog.value module

class hwt.serializer.verilog.value.ToHdlAstVerilog_Value[source]

Bases: hwt.serializer.generic.value.ToHdlAst_Value

as_hdl_BitString(v, width, force_vector, vld_mask, signed)[source]
as_hdl_BoolVal(val: hwt.hdl.types.bitsVal.BitsVal)[source]
as_hdl_HArrayVal(val)[source]
as_hdl_HEnumVal(val: hwt.hdl.types.enumVal.HEnumVal)[source]
as_hdl_HSliceVal(val: hwt.hdl.types.sliceVal.HSliceVal)[source]
as_hdl_SignalItem(si, declaration=False)[source]
as_hdl_cond(c, forceBool)[source]
sensitivityListItem(item, anyIsEventDependent)[source]